用74LS138和与非门实现全加器

来自:    更新日期:早些时候
数字电路与逻辑设计:用74138实现一位全加器!!~

A B Ci C0 S A B Ci C0 S
0 0 0 0 0 1 0 0 0 1
0 0 1 0 1 1 0 1 1 0
0 1 0 0 1 1 1 0 1 0
0 1 1 1 0 1 1 1 0 1

ENTITY adder IS
PORT(a,b,c: IN bit;
s,c0: OUT bit);
END adder;
ARCHITECTURE one OF adder IS
SIGNAL y_n:bit_vector(7 DOWNTO 0);
BEGIN
decoder:PROCESS(a,b,c)
VARIABLE y:bit_vector(7 DOWNTO 0);
BEGIN
y := (OTHERS => '1');
CASE c&b&a IS
WHEN "000" => y(0) := '0';
WHEN "001" => y(1) := '0';
WHEN "010" => y(2) := '0';
WHEN "011" => y(3) := '0';
WHEN "100" => y(4) := '0';
WHEN "101" => y(5) := '0';
WHEN "110" => y(6) := '0';
WHEN "111" => y(7) := '0';
END CASE;
y_n <= y;
END PROCESS;
s <= NOT(y_n(1) AND y_n(2) AND y_n(4) AND y_n(7));
c0 <= NOT(y_n(3) AND y_n(5) AND y_n(6) AND y_n(7));
END one;

首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器。
全加器有3个输入端:a,b,ci;有2个输出端:s,co.
与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7)。
这里可以把3-8译码器的3个数据输入端当做全加器的3个输入端,即3-8译码器的输入A、B、C分别对应全加器的输入a,b,ci;将3-8译码器的3个使能端都置为有效电平,保持正常工作;这里关键的就是处理3-8译码的8个输出端与全加器的2个输出的关系。
现在写出全加器和3-8译码器的综合真值表:
(A/a,B/b,C/ci为全加器和译码器的输入,OUT为译码器的输出(0-7),s为加法器的和,co为加法器的进位输出)PS:假定译码器的输出为高电平有效。
A/a B/b C/ci OUT s co
0 0 0 0 0 0
0 0 1 1 1 0
0 1 0 2 1 0
0 1 1 3 0 1
1 0 0 4 1 0
1 0 1 5 0 1
1 1 0 6 0 1
1 1 1 7 1 1
根据上面的真值表,可以设计出电路图:
将3-8译码器的输出OUT(1、2、4、7)作为一个4输入的或门的输入,或门的输出作为加法器的和;将3-8译码器的输出OUT(3、5、6、7)作为一个4输入的或门的输入,或门的输出作为加法器的进位输出。即完成了加法器的设计。
回过头来分析:
当加法器的输入分别为:a=1,b=0,ci=1时,对应3-8译码器的输入为A=1,B=0,C=1,这是译码器对应的输出为OUT(5)=1,其余的为0,根据上面设计的连接关系,s=0,co=1,满足全加器的功能,举其他的例子也一样,所以,设计全加器的设计正确。


用74LS138和与非门实现全加器视频

相关评论:
  • 17214884710试用译码器74LS138和与非门电路实现逻辑函数:L=AB+AC+BC?
    裴农全L=AB+AC+BC=ABC+A'BC+AB'C+ABC'。

  • 17214884710用3线-8线译码器74LS138和与非门实现下列函数: 1,F1(A,B,C)=∑m(2...
    裴农全可用一只4输入端的与非门输出F1 与非门的4个输入端分别接到译码器的13、11、10、7脚 .F2=A'BC+B'C'=m3+m0+m4=(m0'm3'm4')'可用一只3输入端的与非门输出F2 与非门的3个输入端分别接到译码器的15、12、11脚

  • 17214884710用74LS138和与非门实现全加器
    裴农全首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器。全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7)。这里可以把3-8译码器的3个数据输入端当做全加器的3个输入端,即3-8译码器的...

  • 17214884710试用译码器74LS138和与非门电路实现逻辑函数:L=AB+AC+BC?
    裴农全试用译码器 74LS138 和与非门电路实现逻辑函数:L = AB + AC + BC。这是一个“三变量的表决电路”。只要把 138 的 Y3、Y5、Y6、Y7 连接到与非门的输入端,即可。

  • 17214884710用集成译码器74LS138和与非门,实现下列函数
    裴农全1 0 0 --- y4=0 0 1 1 --- y3=0 Y1 = A' B' + ABC’ = A' B' C' + A' B' C + ABC’;==> Y1 = y0' + y4' + y3' =(y0 y4 y3)' ;也就是用一个三输入与非门,连接74LS138的 y0 y4 y3 输出端即可;2)同理,将用到四与非门,自己试着去解吧;

  • 17214884710试用3线8线译码器CT74LS138和与非门实现逻辑函数
    裴农全令74LS138的三个选通输入依次是ABC。Y1=AC的话 列出真值表,当duABC=101或者111的时候 Y1=1。 当ABC=101时,译码器选zhi择Y5(即此时Y5输出0,其余输出1) 将Y5和Y7接到门电路的与非门即可。Y2,Y3的实现同理 Y2好像可以化简 A先跟BC取异或再跟BC取与 。A跟BC两项都取0输出才为0....

  • 17214884710用3线8线译码器74LS138和与非门设计三人表决器。
    裴农全与非门用74LS20,四输入与非门。0表示否定。011 101 110 111四种情况表决通过。。A B C代表3个人,然后简化1表示赞成

  • 17214884710用74LS138译码器和与非门74LS20实现三人多路表决器
    裴农全138译码器的ABC做为输入端,Y3,Y5,Y6,Y7连在一个与非门上,令其输出为Y,若Y为高电频,则表决通过,Y为低电频则表决不通过。

  • 17214884710用3线—8线译码器(74LS138芯片).四输入与非门实现"三个开关控制一个灯...
    裴农全用3线—8线译码器(74LS138芯片)四输入与非门实现三个开关控制一个灯的电路:全加器真值表:00000;00110;01010;01101;10010;10101;11001;11111。故有Si和Ci的表达式分别为:Si=A’B’C+A’BC’+AB’C’+ABC Ci=A’BC+AB’C+ABC’+ABC 故74138的连接图为:下面的地址输入端:A2...

  • 17214884710用74ls138怎么实现 逻辑函数:Y=
    裴农全74ls138是3线-8线译码器,输出是反码。Y=(A非)(B非)C+A(B非)C+AB(C非)=m1 + m5 + m6 (写成最小项之和的形式)=(m1非)*(m5非)*(m6非)(整个相乘后再一个大的非号)化成与非式 所以需要一个 三输入端的与非门 输入端由高到低位分别接A,B,C 就是A2=A,A1=B,A0=C 输入端...

  • 相关主题精彩

    版权声明:本网站为非赢利性站点,内容来自于网络投稿和网络,若有相关事宜,请联系管理员

    Copyright © 喜物网