关于集成电路设计的流程详解

来自:    更新日期:早些时候
~
集成电路设计(英语:Integratedcircuitdesign),根据当前集成电路的集成规模,亦可称之为超大规模集成电路设计(VLSIdesign),是指以集成电路、超大规模集成电路为目标的设计流程。集成电路设计通常是以“模块”作为设计的单位的。例如,对于多位全加器来说,其次级模块是一位的加法器,而加法器又是由下一级的与门、非门模块构成,与、非门最终可以分解为更低抽象级的CMOS器件。下面就让我们进一步的了解集成电路设计的相关知识。
集成电路设计介绍
集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:
1.功能设计阶段。
设计人员产品的应用场合,设定一些诸如功能、操作速度、接口规格、环境温度及消耗功率等规格,以做为将来电路设计时的依据。更可进一步规划软件模块及硬件模块该如何划分,哪些功能该整合于SOC内,哪些功能可以设计在电路板上。
2.设计描述和行为级验证
功能设计完成后,可以依据功能将SOC划分为若干功能模块,并决定实现这些功能将要使用的IP核。此阶段间接影响了SOC内部的架构及各模块间互动的讯号,及未来产品的可靠性。决定模块之后,可以用VHDL或Verilog等硬件描述语言实现各模块的设计。接着,利用VHDL或Verilog的电路仿真器,对设计进行功能验证(functionsimulation,或行为验证behavioralsimulation)。
注意,这种功能仿真没有考虑电路实际的延迟,也无法获得精确的结果。
3.逻辑综合
确定设计描述正确后,可以使用逻辑综合工具(synthesizer)进行综合。综合过程中,需要选择适当的逻辑器件库(logiccelllibrary),作为合成逻辑电路时的参考依据。硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要因素。事实上,综合工具支持的HDL语法均是有限的,一些过于抽象的语法只适于作为系统评估时的仿真模型,而不能被综合工具接受。
逻辑综合得到门级网表。
4.门级验证(Gate-LevelNetlistVerification)
门级功能验证是寄存器传输级验证。主要的工作是要确认经综合后的电路是否符合功能需求,该工作一般利用门电路级验证工具完成。注意,此阶段仿真需要考虑门电路的延迟。
5.布局和布线
布局指将设计好的功能模块合理地安排在芯片上,规划好它们的位置。布线则指完成各模块之间互连的连线。注意,各模块之间的连线通常比较长,因此,产生的延迟会严重影响SOC的性能,尤其在0.25微米制程集成电路设计过程
1.电路设计
依据电路功能完成电路的设计。
2.前仿真
电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。
3.版图设计(Layout)
依据所设计的电路画版图。一般使用Cadence软件。
4.后仿真
对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。
5.后续处理
将版图文件生成GDSII文件交予Foundry流片。
集成电路设计的辅助和自动化
主条目:计算机辅助设计和电子设计自动化
由于集成电路系统的复杂性,工程师往往需要借助电子设计自动化工具来进行计算机辅助设计。逻辑综合就是电子设计自动化在数字集成电路设计中最显著的体现。以往在设计小规模、中规模集成电路时,工程师设计数字集成电路需要根据逻辑功能,通过类似卡诺图这样的手工途径来优化逻辑函数,然后确定使用何种逻辑门来实现电路。而在当前超大规模集成电路,乃至更大的甚大规模集成电路的设计中,这样的工作方式不太现实。电子设计自动化工具使得工程师能够从复杂的门级设计转到功能设计,而底层的转换由自动工具完成,工程师只需要掌握如何设置这些工具工作策略的知识。硬件描述语言是集成电路设计自动化的重要基础。电子设计自动化发展十分迅速,现在已经成立了诸如设计自动化会议的一些学术论坛,定期讨论业界的发展。
完成整个集成电路设计常常涉及多个电子设计自动化工具的运用。有些公司专门从事集成电路计算机辅助设计工具套件的开发和销售,例如Synopsys、Cadence、MentorGraphics、Agilent、Altium、Xilinx等。电子设计自动化工具的本身作为一种软件,背后依靠的是各种计算机算法。因此电子设计自动化工具的开发更加接近软件设计的范畴,其开发人员需要重点关注逻辑简化、布局布线等方面的算法实现,但是他们同样需要了解集成电路的硬件知识。
编辑总结:


关于集成电路设计的流程详解视频

相关评论:
  • 15871049766集成电路设计流程
    伍净庞2019年全国职业院校技能大赛(高职组) GZ-2019020 集成电路开发及应用赛项中第一部分集成电路设计与仿真的设计过程如下所示。首先来看下题目:题目要求:设计的电路,输入端A、B、C、D按照下图所示时序输入信号,使得输出Y的波形需要按照下图所示。题目分析 其实解决此题的思路与知识点也比较简单:利用到...

  • 15871049766芯片设计流程全讲解
    伍净庞半导体世界的核心,由精密的数字\/模拟集成组件、智能化软件和创新应用交织而成。其中,模拟集成电路如同神经元,接收和处理外部世界的模拟信号,而数字集成电路则如同大脑,通过复杂的运算将数据转化为可见的模拟信号。在这个精密的工程领域,设计流程犹如一曲交响乐,每一个环节都不可或缺。设计工程师赵工(...

  • 15871049766专用集成电路的开发过程
    伍净庞专用集成电路的开发可分为设计、加工与测试三个主要环节。但因其功能的多样而更具特色。 1)功能设计的目的是为电路设计做准备,将系统功能用于系统实现,便于按系统、电路、元件的级别做层次式设计。2)逻辑设计的结果是给出满足功能块所要求的逻辑关系的逻辑构成。它是用门级电路或功能模块电路实现,用...

  • 15871049766集成电路制造过程
    伍净庞随机存取存储器是最常见类型的集成电路,所以密度最高的设备是存储器,但即使是微处理器上也有存储器。尽管结构非常复杂-几十年来芯片宽度一直减少-但集成电路的层依然比宽度薄很多。组件层的制作非常像照相过程。虽然可见光谱中的光波不能用来曝光组件层,因为他们太大了。高频光子(通常是紫外线)被...

  • 15871049766芯片设计流程全讲解
    伍净庞对于用户而言,系统运作涉及数字、模拟电路,以及软件和应用层面。电路世界与模拟世界互动,模拟电路捕捉外部信息,将其转化为二进制信号,供数字电路处理,再将处理结果转换回模拟信号输出,整个过程在系统软件的控制下进行,比喻为芯片的框架和灵魂。数字集成电路设计流程漫长且精细,比如手机基带芯片,设计者...

  • 15871049766集成电路设计的简介是什么
    伍净庞4、逐步完成功能设计之后,设计规则会指明哪些设计匹配制造要求,而哪些设计不匹配,而这个规则本身也十分复杂。集成电路设计流程需要匹配数百条这样的规则。在一定的设计约束下,集成电路物理版图的布局、布线对于获得理想速度、信号完整、减少芯片面积来说至关重要。半导体器件制造的不可预测使得集成电路设计的...

  • 15871049766芯片设计入门教程——4.芯片架构和设计流程
    伍净庞物理设计的精细布局 物理设计阶段,我们考量实际限制,如面积、功耗和电磁兼容,通过布局和布线实现性能和效率的最优平衡。时序闭环和验证优化在这个过程中起到决定性作用。科技工具的力量 集成电路设计的高效进行离不开先进的EDA工具,如Cadence、Mentor Graphics和Synopsys,它们与HDL编辑器(如Vim、Emacs、...

  • 15871049766什么叫集成电路布图设计?
    伍净庞四、集成电路布图设计登记申请所需时间 审查采用登记制,申请经初步审查合格即获准登记。登记过程较短,一般申请递交之后2个月左右即可拿到证书。五、集成电路布图设计登记所需材料 必须提交的文件:1、集成电路布图设计登记申请表1份;2、图样1份;3、图样的目录1份;可能需要的文件:4、集成电路布图...

  • 15871049766集成电路设计基础内容简介
    伍净庞本书是集成电路设计的基础教材,全面深入地介绍了该领域的基本原理和概念。它通过详细的章节安排,为读者提供了系统性的学习路径。全书共分为9章,内容覆盖了集成电路设计的多个关键方面。以下是对本书内容的概述:第1章全面介绍了集成电路的发展历程、分类、设计方法及制造流程,为后续学习打下基础。第2...

  • 15871049766集成电路设计导论内容简介
    伍净庞接着,书中深入剖析了集成电路制造技术,特别是以硅衬底和CMOS工艺为核心的技术,让读者了解芯片的制造过程。随后,读者将接触到典型数字模拟集成电路的设计与实现,以及现代集成电路设计技术与方法学的精要,这些都是实际应用中的关键技能。此外,芯片的封装与测试环节也在本书中占有重要地位,它涵盖了芯片...

  • 相关主题精彩

    版权声明:本网站为非赢利性站点,内容来自于网络投稿和网络,若有相关事宜,请联系管理员

    Copyright © 喜物网